您现在的位置是:首页 > PLC技术 > PLC技术

松下FP0 PLC的寄存器移位指令SR

来源:艾特贸易2017-06-05

简介(1) 指令功能 SR 相当于一个串行输入移位寄存器,在移位脉冲上升沿到来时将 16 位的内部字继电器 WR 中的数据逐位左移一位,最高位溢出。当移位脉冲信号前沿到来时,若数据输入端为

    (1)指令功能    SR相当于一个串行输入移位寄存器,在移位脉冲上升沿到来时将16位的内部字继电器WR中的数据逐位左移一位,最高位溢出。当移位脉冲信号前沿到来时,若数据输入端为“ON”,则向最低位Rx0移入“1”,反之则移入“0”。复位信号到来时,移位的内容全部复位为0    SR指令的操作数为WR    (2)编程实例    梯形图、指令表和时序图如表3-16所示。    3-16    梯形图、指令表和时序图
  梯形图、指令表和时序图
    程序解释:在X2为“OFF”时,移位输入X1接通,WR3(即内部继电器R30R3F)中的数据逐位向左移一位;如果数据输入X0为“ON”,左移一位后R301;如果X0为“OFF”,左移一位后R300;在复位输入X2的上升沿,WR3被复位(WR3的所有位变为0)。    (3)指令使用说明    ①移位。移位操作是在移位触发信号(触发脉冲)的作用下,将操作数的每一位由低位向相邻的高位移动一位,输入信号进入最低位,最高位被移出,如图3-9所示。    ②复位。如图3-10所示。    ⑧使用SR指令编程时,必须有数据输入、移位和复位触发信号,三者互相独立,而不是并联关系。
移位
    3-9    移位
复位
    3-10    复位